Программируемые аналоговые схемы Anadigm.
Использование виртуальных генераторов сигналов в САПР AnadigmDesigner2

В статье на примере создания генератора качающейся частоты представлен принцип создания сигналов сложной формы. Такие сигналы будут полезны разработчику на этапе проектирования схемы в программе AnadigmDesigner2 для программируемых аналоговых схем Anadigm. Журнал "Компоненты и технологии №12-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx.
Часть 11

Процесс конфигурирования кристаллов программируемой логики, а также выполнение операций записи и чтения информационных и контрольных данных в микросхемах Flash ППЗУ осуществляется в САПР серии Xilinx ISE Design Suite с помощью программного модуля iMPACT и загрузочного кабеля. Для программирования микросхем Flash-памяти и обратного считывания записанных данных могут использоваться загрузочные ка... Журнал "Компоненты и технологии №11-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx.
Часть 10

В этой части статьи рассмотрена подготовка инструментального модуля для загрузки конфигурации аппаратной части разработанной микропроцессорной системы и программного обеспечения с карты памяти формата SD Card, активизация и настройка встроенных средств эмуляции терминала интегрированной среды разработки программного обеспечения Xilinx SDK, программирование загрузочного Flash ППЗУ. Журнал "Компоненты и технологии №11-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx.
Часть 9

В этой части статьи рассмотрена генерация загрузочного образа для проектируемой встраиваемой микропроцессорной системы и подготовка карты памяти формата SD Card для загрузки конфигурации аппаратной части разработанной микропроцессорной системы и программного обеспечения. Журнал "Компоненты и технологии №10-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx. Часть 8

Все статьи цикла. Запуск процесса отладки разрабатываемого программного приложения на аппаратной платформе проектируемой микропроцессорной системы Прежде чем приступить непосредственно к отладке разрабатываемого программного приложения с использованием выбранных аппаратных средств, рекомендуется подключить загрузочный кабель к персональному компьютеру (ПК) и применяемому инструментальному модулю, а также выполнить необходимую коммутацию портов этого модуля, задействованных в отлаживаемой прикладной программе, с соответствующими портами ПК. Затем нужно загрузить сгенерированную ... Журнал "Компоненты и технологии №9-2015

Проектирование конечных автоматов с использованием пакетов расширения Stateflow и Xilinx System Generator системы Matlab/Simulink

Цель данной работы — демонстрация возможностей пакетов расширения Stateflow и Xilinx System Generator системы Matlab/Simulink по проектированию конечных автоматов с последующей их реализацией в базисе ПЛИС Xilinx. Журнал "Компоненты и технологии №8-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx. Часть 7

Продолжаем цикл публикаций, посвященных вопросам проектирования микропроцессорных систем на базе кристаллов расширяемых вычислительных платформ Extensible Processing Platform (EPP) семейства Zynq-7000 AP SoC, выпускаемых фирмой Xilinx. Журнал "Компоненты и технологии №8-2015

Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes

Использование методологии Black Boxes Xilinx System Generator при разработке имитационных моделей цифровых устройств позволяет импортировать VHDL-, Verilog-, EDIF-коды, разработанные, например, в САПР ПЛИС Xilinx ISE Design Suite в систему Matlab/Simulink, что значительно повышает возможности объектно-ориентированного проектирования. Журнал "Компоненты и технологии №7-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx. Часть 6

Продолжаем цикл публикаций, посвященных вопросам проектирования микропроцессорных систем на базе кристаллов расширяемых вычислительных платформ Extensible Processing Platform (EPP) семейства Zynq-7000 AP SoC, выпускаемых фирмой Xilinx. Журнал "Компоненты и технологии №7-2015

Разработка программного обеспечения встраиваемых микропроцессорных систем, проектируемых на базе расширяемых вычислительных платформ семейства Zynq 7000 AP SoC фирмы Xilinx.
Часть 4

Все статьи цикла Импортирование исходных модулей в состав проекта прикладной программы для разрабатываемой микропроцессорной системы Включение исходных модулей, ранее сформированных при создании других программных приложений, в состав разрабатываемого проекта прикладной программы осуществляется командой Import, которая представлена во всплывающем меню File и контекстно-зависимом всплывающем меню, открываемом щелчком правой кнопки мыши. Перед выполнением этой команды рекомендуется во встроенной панели Project Explorer в разделе формируемого проекта программного приложения выделить строку ... Журнал "Компоненты и технологии №5-2015