Проектирование встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq 7000 AP SoC в САПР Xilinx ISE Design Suite. Часть 9

PDF версия

Все статьи цикла

Отчет об асинхронных задержках распространения сигналов в аппаратной части проектируемой микропроцессорной системы

Для генерации отчета об асинхронных задержках распространения сигналов в аппаратной части разрабатываемой встраиваемой системы необходимо перед выполнением фазы размещения и трассировки проекта в кристалле на странице Place and Route Properties диалоговой панели параметров этапа реализации (рис. 55) установить индикатор состояния параметра Generate Asynchronous Delay Report в положение «включено». При установленном состоянии указанного индикатора после завершения процесса размещения и трассировки в кристалле в разделе Secondary Reports вкладки Design Summary области расположения рабочих окон Project Navigator появляется строка Asynchronous Delay Report. Чтобы открыть сформированный отчет, нужно расположить курсор на указанной строке и щелкнуть левой кнопкой мыши. В результате на вкладке Design Summary открывается дополнительная встроенная панель, вид которой показан на рис. 100. В этой встроенной панели представлен текст рассматриваемого отчета.

Открытие отчета об асинхронных задержках распространения сигналов в аппаратной части проектируемой микропроцессорной системы

Рис. 100. Открытие отчета об асинхронных задержках распространения сигналов в аппаратной части проектируемой микропроцессорной системы

В структуре отчета об асинхронных задержках распространения сигналов в аппаратной части проектируемой микропроцессорной системы можно выделить три части. В первой части отображается информация о времени его создания и идентификатор файла, в котором он сохранен. В качестве примера ниже приводится текст отчета об асинхронных задержках распространения сигналов в аппаратной части простейшей системы сбора и обработки данных, разработка проекта которой была рассмотрена в предыдущих частях настоящей статьи.

Release 14.7 - reportgen P.20131013 (nt64)
Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--
Tue Sep 23 17:00:42 2014
--
File: PS_ARM_top.dly
--

Во второй части отчета содержатся сведения о двадцати цепях с максимальными значениями задержек распространения сигналов. Эта информация представлена в форме таблицы, состоящей из двух колонок — Max Delay и Netname. В ячейках, образующих столбец Max Delay, отображаются максимальные значения задержек передачи сигналов по соответствующим цепям аппаратной части проектируемой встраиваемой системы. В ячейках, входящих в состав колонки Netname, приводятся идентификаторы цепей с максимальными значениями задержек распространения сигналов:

The 20 worst nets by delay are:
Max Delay	Netname
3.785	        PS_ARM_i/axi_gpio_0_GPIO_IO_T<6>
3.691	        PS_ARM_i/axi_gpio_0_GPIO_IO_O<6>
3.667	        PS_ARM_i/axi_gpio_0_GPIO_IO_T<7>
3.610	        PS_ARM_i/axi_gpio_0_GPIO_IO_T<4>
3.604         	PS_ARM_i/axi_gpio_0_GPIO_IO_T<5>
3.565      	PS_ARM_i/axi_gpio_0_GPIO_IO_T<2>
3.524       	PS_ARM_i/axi_gpio_0_GPIO_IO_T<1>
3.438     	PS_ARM_i/axi_gpio_0_GPIO_IO_O<4>
3.313   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<7>
3.259   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<0>
3.199   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<2>
3.165   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<3>
3.005   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<5>
2.960   	PS_ARM_i/axi_gpio_0_GPIO_IO_I<6>
2.917   	PS_ARM_i/axi_gpio_0_GPIO_IO_T<3>
2.869   	PS_ARM_i/axi_gpio_0_GPIO_IO_T<0>
2.802   	PS_ARM_i/axi_gpio_0_GPIO_IO_O<1>
2.576   	PS_ARM_i/axi_interconnect_1_M_ARADDR<3>
2.567   	PS_ARM_i/axi_interconnect_1_M_WDATA<4>
2.494   	PS_ARM_i/axi_interconnect_1_M_WDATA<7>

Третья часть рассматриваемого отчета предоставляет информацию обо всех асинхронных задержках распространения сигналов в аппаратной части разрабатываемой микропроцессорной системы:

------------------------
Net Delays
------------------------
PS_ARM_i/axi_gpio_0/N2
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_FSM_FFd2
.D
  0.667
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_FSM_FFd2
.B6
PS_ARM_i/axi_gpio_0/N4
 PS_ARM_i/axi_gpio_0/N4.B
  0.888 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_31.A2
  1.445 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_30.A2
  1.448 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_30.B2
  1.562 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_30.C2
  1.384 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_27.A2
  1.598 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_27.B2
  1.081 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_27.C2
  1.076 PS_ARM_i/axi_gpio_0/axi_gpio_0/gpio_core_1/GPIO_DBus_i_27.D2
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/GND_15_o_stat
e[1]_equal_14_o
 PS_ARM_i/axi_interconnect_1_M_RDATA<3>.A
  0.519 PS_ARM_i/axi_interconnect_1_M_RDATA<7>.CE
  0.413 PS_ARM_i/axi_interconnect_1_M_RDATA<3>.CE
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHAS
E_TIMER.dpto_cnt<0>
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.AQ
  0.533
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.A4
  0.525
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.B5
  0.669
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.C6
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHAS
E_TIMER.dpto_cnt<1>
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.BMUX
  0.690
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.B3
  0.302
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.C5
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHAS
E_TIMER.dpto_cnt<2>
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.BQ
  0.672
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.B4
  0.640
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.C3
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHAS
E_TIMER.dpto_cnt<3>
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.CQ
  0.870
PS_ARM_i/axi_interconnect_1/axi_interconnect_1/mi_protocol_conv_bank/gen_protoco
l_slot[0].gen_prot_conv.conv_inst/gen_axilite.gen_axilite_conv.axilite_conv_inst
/areset_d<1>.B5
  0.650 PS_ARM_i/axi_interconnect_1_M_BVALID.A5
  0.889 PS_ARM_i/axi_interconnect_1_M_RVALID.A3
  0.549
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_FSM_FFd2
.B3
  0.704
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/cs_c
e_clr.A6
  0.715
PS_ARM_i/axi_gpio_0/axi_gpio_0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/INCLUDE_DPHASE
_TIMER.dpto_cnt<3>.C4

 

Итоговый отчет о временных характеристиках аппаратной части проектируемой микропроцессорной системы

Для того чтобы активизировать процесс вычисления уточненных временных характеристик аппаратной части разрабатываемой встраиваемой системы, осуществляемый после завершения фазы размещения и трассировки проекта в кристалле, необходимо во встроенной панели процессов Processes Project Navigator расположить курсор на строке Generate Post-Place & Route Static Timing (рис. 100) и дважды щелкнуть левой кнопкой мыши. В результате выполненных действий в окне консольных сообщений Project Navigator последовательно выводятся сообщения, информирующие о ходе выполнения указанного процесса. После завершения процесса вычисления уточненных временных параметров аппаратной части проектируемой микропроцессорной системы в строке Generate Post-Place & Route Static Timing появляется пиктограмма, соответствующая характеру его окончания, и генерируется итоговый отчет. При этом в разделе Detailed Reports вкладки Design Summary области расположения рабочих окон Project Navigator становится активной строка Post-PAR Static Timing Report (которая ранее находилась в недоступном состоянии и отображалась серым цветом), как показано на рис. 101.

Открытие итогового отчета, содержащего уточненные оценки временных параметров аппаратной части разрабатываемой встраиваемой системы

Рис. 101. Открытие итогового отчета, содержащего уточненные оценки временных параметров аппаратной части разрабатываемой встраиваемой системы

Для открытия отчета, содержащего уточненные оценки временных параметров аппаратной части проектируемой микропроцессорной системы, достаточно расположить курсор в указанной строке и щелкнуть левой кнопкой мыши. После этого на вкладке Design Summary появляются две дополнительные встроенные панели. Первая из них Post-PAR Static Timing Report предоставляет интерактивную структуру итогового отчета о временных характеристиках аппаратной части разрабатываемой встраиваемой системы, которая позволяет оперативно переходить к нужному разделу сгенерированного отчета (рис. 101). Вторая встроенная панель, расположенная в правой части вкладки Design Summary, содержит текст сформированного отчета в выбранном формате. Итоговый отчет может быть представлен как в текстовом, так и HTML-формате. Для отображения уточненных значений временных параметров аппаратной части проектируемой микропроцессорной системы можно также воспользоваться программными средствами Timing Analyzer View, рассмотренными в седьмой части данной статьи.

Итоговый отчет о результатах статического временного анализа, выполняемого после размещения и трассировки проекта в кристалле, имеет ту же структуру, что и предварительный отчет, генерируемый по окончании фазы отображения логического описания аппаратной части разрабатываемой встраиваемой системы на физические ресурсы кристалла расширяемой процессорной платформы. Основные отличия этих отчетов проявляются, прежде всего, в значениях задержек распространения сигналов. В итоговом отчете эти величины, как правило, превосходят значения аналогичных параметров, представленных в предварительном отчете. Такое увеличение обусловлено в первую очередь учетом задержек распространения сигналов, вносимых трассировочными ресурсами кристалла расширяемой вычислительной платформы.

При изучении содержимого отчета о результатах статического временного анализа, производимого после размещения и трассировки проекта в кристалле, необходимо обратить внимание на соблюдение установленных временных ограничений. При обнаружении невыполненных выражений временных ограничений следует изменить значения отдельных параметров процесса размещения и трассировки (например, параметров управления оптимизацией), после чего необходимо повторить рассматриваемую фазу этапа реализации аппаратной части проектируемой микропроцессорной системы.

Если значения всех параметров, представленных в итоговом отчете о результатах полного статического временного анализа, не противоречат заданным ограничениям проекта, то далее целесообразно ознакомиться с характеристиками энергопотребления аппаратной части разрабатываемой встраиваемой системы.

 

Отчет о параметрах энергопотребления аппаратной части проектируемой микропроцессорной системы

Для того чтобы после завершения процесса размещения и трассировки проекта в кристалле автоматически сформировался отчет о потребляемой мощности аппаратной части проектируемой микропроцессорной системы, необходимо на странице Place and Route Properties диалоговой панели параметров этапа реализации (рис. 55) установить индикатор состояния параметра Generate Post-Place & Route Power Report в положение «включено». В этом случае после завершения фазы размещения и трассировки проекта в кристалле становится активной строка Power Report, расположенная в разделе Detailed Reports вкладки Design Summary области расположения рабочих окон Project Navigator, которая ранее находилась в недоступном состоянии и отображалась серым цветом. Указанная строка предоставляет быстрый доступ к сгенерированному отчету о параметрах энергопотребления аппаратной части разрабатываемой встраиваемой системы. Для просмотра сформированного отчета следует расположить курсор на строке Power Report и щелкнуть левой кнопкой мыши. При этом на вкладке Design Summary открывается дополнительная встроенная панель, чей вид представлен на рис. 102. В этой встроенной панели отображается текст отчета о потребляемой мощности аппаратной части проектируемой микропроцессорной системы.

Открытие отчета о параметрах энергопотребления аппаратной части разрабатываемой встраиваемой системы

Рис. 102. Открытие отчета о параметрах энергопотребления аппаратной части разрабатываемой встраиваемой системы

Для большей наглядности содержание этого отчета рассматривается далее на примере документа, сгенерированного по окончании процесса размещения и трассировки в кристалле аппаратной части простейшей системы сбора и обработки данных. Структура отчета о параметрах энергопотребления аппаратной части проектируемой микропроцессорной системы содержит четыре основные секции. В начале отчета приводится информация о версии программных средств, применяемых для оценки потребляемой мощности, и командной строке, используемой для их активизации:

Xilinx XPower Analyzer
Release	        14.7 - P.20131013 (nt64)  
Command Line	C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\xpwr.exe 
                intstyle ise -ol std PS_ARM_top.ncd PS_ARM_top.pcf –o
                PS_ARM_top.pwr

Далее следует подробное оглавление рассматриваемого отчета:

Table of Contents

1. Settings
1.1. Project
1.2. Device
1.3. Environment
1.4. Default Activity Rates
2. Summary
2.1. On-Chip Power Summary
2.2. Thermal Summary
2.3. Power Supply Summary
2.4. Confidence Level
3. Detailed Reports
3.1. By Hierarchy
4. Warnings

В первой основной секции отчета, озаглавленной Settings, представлены сведения о проекте, применяемом кристалле расширяемой платформы, значениях параметров окружающей среды и переключения сигналов, которые использовались при оценке потребляемой мощности аппаратной части разрабатываемой встраиваемой системы. Эта секция включает четыре раздела. Первый раздел, имеющий заголовок Project, содержит информацию о файлах проекта, на основании которых выполнялось вычисление параметров энергопотребления. В частности, приводятся идентификаторы файла проекта (Design File), для которого выполнялась оценка потребляемой мощности, файла физических ограничений (Physical Constraints File), файла дополнительных установочных параметров (Settings File) и файла результатов процесса моделирования (Simulation Activity File):

1. Settings
1.1. Project
Project
Design File	                PS_ARM_top.ncd
Settings File	                NA
Physical Constraints File	PS_ARM_top.pcf
Simulation Activity File	NA
Design Nets Matched	        NA
Simulation Nets Matched	        NA

Во втором разделе первой секции с заголовком Device отображаются сведения о кристалле расширяемой процессорной платформы, выбранном для реализации аппаратной части создаваемой встраиваемой системы. Здесь указано семейство (Family), к которому относится используемый кристалл, его тип (Part), корпусное исполнение (Package), температурный диапазон эксплуатации (Temp Grade), класс быстродействия (Speed Grade), а также дополнительные параметры спецификации, информирующие о варианте технологического процесса изготовления (Process), определяющего уровень потребляемой мощности (типовой или максимальный), и версии модели энергопотребления (Characterization):

1.2. Device
Device
Family          	Zynq-7000
Part    	        xc7z020
Package  	        clg484
Temp Grade	        Commercial
Process 	        Typical
Speed Grade	        -1
Characterization	Production,v1.0,2012-07-11

Третий раздел секции Settings, озаглавленный Environment, предоставляет информацию о значениях параметров окружающей среды, которые применялись при оценке характеристик энергопотребления аппаратной части проектируемой микропроцессорной системы. В этом разделе, в частности, приводятся значения следующих параметров:

  • температуры окружающей среды, измеряемой в градусах по Цельсию, Ambient Temp (C);
  • скорости воздушного потока, используемого для охлаждения кристалла, Airflow (LFM), указанной в футах в минуту;
  • тип радиатора, применяемого для охлаждения кристалла Heat Sink;
  • габаритные размеры печатной платы, на которой установлен кристалл расширяемой процессорной платформы, Board Selection;
  • количество слоев печатной платы, используемой для реализации аппаратной части разрабатываемой встраиваемой системы, Board Layers;
  • температуры печатной платы, на которой установлен кристалл расширяемой процессорной платформы, Board Temperature.
1.3. Environment
Environment
Ambient Temp (C)	25.0
Use custom TJA?  	No
Custom TJA (C/W)	NA
Airflow (LFM)   	250
Heat Sink       	Medium Profile
Custom TSA (C/W)	NA
Board Selection  	Medium (10"x10")
# of Board Layers	8 to 11
Custom TJB (C/W)	NA
Board Temperature (C)	NA

Четвертый раздел секции Settings, имеющий заголовок Default Activity Rates, содержит сведения о параметрах переключения различных физических ресурсов кристалла расширяемой вычислительной платформы, которые установлены по умолчанию для средств оценки потребляемой мощности аппаратной части проектируемой микропроцессорной системы. В этом разделе отображается информация об относительной частоте переключений триггеров (FF Toggle Rate), блоков ввода/вывода (I/O Toggle Rate), секций цифровой обработки сигналов (DSP Toggle Rate), а также об относительной интенсивности операций записи и чтения данных в элементах блочной памяти (BRAM Write Rate и BRAM Enable Rate), которые учитывались при оценке параметров энергопотребления. Здесь же указано значение емкости выходной нагрузки Output Load, выраженное в пикофарадах:

1.4. Default Activity Rates
Default Activity Rates
FF Toggle Rate (%)	12.5
I/O Toggle Rate (%)	12.5
Output Load (pF)	5.0
I/O Enable Rate (%)	100.0
BRAM Write Rate (%)	50.0
BRAM Enable Rate (%)	50.0
DSP Toggle Rate (%)	12.5

Во второй секции рассматриваемого отчета, озаглавленной Summary, представлены значения основных параметров энергопотребления кристалла расширяемой процессорной платформы, реализующего функции аппаратной части разрабатываемой встраиваемой системы. В состав этой секции входят четыре раздела. В первом разделе с заголовком On-Chip Power Summary указаны общие характеристики энергопотребления применяемого кристалла. Здесь приведены значения потребляемой мощности, приходящейся на цепи синхронизации (Clocks), логические ресурсы (Logic), сигнальные (внутренние) цепи (Signals), блоки ввода/вывода (Ios) и процессорный блок (PSSs). Кроме того, в этом же разделе содержатся оценки потребляемой мощности в статическом режиме (Static Power) и суммарной потребляемой мощности кристалла (Total):

2. Summary
2.1. On-Chip Power Summary
On-Chip Power Summary
On-Chip	      Power (mW)	Used	Available	Utilization (%)
Clocks	      3.25	        1	-	        -
Logic	      0.28	        197	53200    	0
Signals       0.45	        348	-       	-
Ios	      0.00	        138	330     	42
PSSs	      0.00	        1	1       	100
Static Power  112.90			
Total         116.89			

Второй раздел секции Summary, озаглавленный Thermal Summary, предоставляет информацию об основных температурных характеристиках кристалла расширяемой процессорной платформы, используемого для реализации аппаратной части создаваемой системы. В этом разделе приведены значения эффективного теплового сопротивления кристалл — окружающая среда (Effective TJA), максимальной температуры окружающей среды (Max Ambient (C)) и температуры транзисторов кристалла (Junction Temp):

2.2. Thermal Summary
Thermal Summary
Effective TJA (C/W)	5.0
Max Ambient (C)  	84.4
Junction Temp (C)	25.6

В третьем разделе секции Summary, имеющем заголовок Power Supply Summary, представлены минимальные требования, предъявляемые к блоку питания кристалла расширяемой процессорной платформы, реализующего функции аппаратной части проектируемой микропроцессорной системы. В начале этого раздела отображено значение суммарной мощности блока питания (Total Supply Power), а также значения потребляемой мощности от этого блока в динамическом и статическом режимах (Dynamic Power и Static Power соответственно).

2.3. Power Supply Summary
Power Supply Summary
                        Total	  Dynamic    Static Power
Supply Power (mW)	116.89	  3.99	     112.90

Далее в разделе Power Supply Summary содержится подробная информация о значениях тока, потребляемого от каждого источника блока питания в статическом и динамическом режиме. Эта информация отображается в виде таблицы с заголовком Power Supply Currents, которая включает пять колонок. В первой колонке с названием Supply Source приведены условные обозначения источников питания для соответствующих физических ресурсов кристалла расширяемой процессорной платформы семейства Zynq‑7000 AP SoC. Вторая колонка, имеющая название Supply Voltage, содержит номинальные значения выходного напряжения соответствующих источников питания кристалла. В третьей колонке с названием Total Current (mA) представлены значения суммарных токов, потребляемых от источников питания, которые перечислены в первой колонке. В четвертой колонке, имеющей название Dynamic Current (mA), указаны значения токов, потребляемых от соответствующих источников питания в динамическом режиме. Пятая колонка с названием Quiescent Current (mA) содержит значения токов, потребляемых в статическом режиме от источников питания, указанных в первом столбце:

Power Supply Currents
Supply Source	Supply Voltage	Total Current (mA)	Dynamic Current (mA)	Quiescent Current (mA)
Vccint	        1.000	        14.52	                3.99	                10.54
Vccaux	        1.800	        10.44	                0.00	                10.44
Vcco18	        1.800	        1.00	                0.00             	1.00
Vccbram	        1.000	        0.44            	0.00            	0.44
Vccpint	        1.000	        20.14	                0.00            	20.14
Vccpaux	        1.800	        13.33             	0.00            	13.33
Vcco_ddr	1.500	        2.00              	0.00                   	2.00
Vccadc	        1.710	        20.00           	0.00             	20.00

Четвертый раздел секции Summary, имеющий заголовок Confidence Level, предоставляет сведения о достоверности полученных оценок параметров энергопотребления. Эти сведения сосредоточены в одноименной таблице, содержащей четыре колонки. В первом столбце таблицы User Input Data перечислены исходные данные, на основании которых выполнялось вычисление оценок параметров энергопотребления. Во второй колонке с названием Confidence указан уровень достоверности соответствующих исходных данных — низкий (Low), средний (Medium) или высокий (High). Третий столбец с названием Details предоставляет уточняющую информацию, характеризующую уровень достоверности соответствующих исходных данных. В четвертой колонке, имеющей название Action, приводятся рекомендации по повышению уровня достоверности исходных данных. В последней строке рассматриваемой таблицы отображается интегральная оценка общего уровня достоверности:

2.4. Confidence Level
Confidence Level 
User Input Data         	Confidence             Details          	            Action
Design implementation state	High	               Design is completely routed	
Clock nodes activity	        High                   User specified more 
                                                       than 95% of clocks	
I/O nodes activity	        Low	               More than 75%                        Provide missing input
                                                       of inputs are missing                activity with simulation 
                                                       user specification	            results or by editing the 
                                                                                            "By Resource Type -> I/Os" view
Internal nodes activity	        Medium	               User specified less than 	    Provide missing internal 
                                                       25% of internal nodes                nodes activity with simulation 
                                                                                            results or by editing the "By Resource Type" views
Device models	                High	               Device models are Production	
Overall confidence level	Low		

Третья секция рассматриваемого отчета, имеющая заголовок Details, содержит подробную информацию о параметрах энергопотребления каждого иерархического модуля, входящего в состав аппаратной части разрабатываемой встраиваемой системы. Эта информация представлена в форме таблицы, которая включает восемь колонок. В первой колонке с названием By Hierarchy отображены идентификаторы модулей исходного описания, образующих иерархическую структуру проекта аппаратной части создаваемой микропроцессорной системы. Во втором столбце, имеющем название Power (mW), приведены значения суммарной потребляемой мощности для каждого иерархического модуля проекта. Третья колонка с названием Logic Power (mW) содержит значения мощности, потребляемой логическими ресурсами, используемыми для реализации соответствующих иерархических модулей. В четвертом столбце с названием Signal Power (mW) указаны значения потребляемой мощности, приходящейся на цепи распространения сигналов соответствующих иерархических модулей. В колонках с названиями # FFs, # LUTs, # SRLUTs и # CARRY4s приводятся сведения об объеме триггеров, таблиц преобразования, сдвиговых регистров, конфигурируемых на базе таблиц преобразования, и логики ускоренного переноса, задействованных в каждом иерархическом модуле.

3. Details
3.1. By Hierarchy
By Hierarchy	                                Power (mW)	Logic Power (mW)	Signal Power (mW)	# FFs	# LUTs	  # SRLUTs	# CARRY4s
Hierarchy total                          	0.74	        0.28	                0.45	               138	206	  8	        3
PS_ARM_top	                                0.00/0.74	0.00/0.28	        0.00/0.45	       0/138	0/206	  0/8	        0/3
PS_ARM_I	                                0.34/0.74	0.00/0.28	        0.34/0.45	       0/138	0/206	  0/8	        0/3
axi_interconnect_1                            	0.00/0.26	0.00/0.18	        0.00/0.07	       0/76	0/141	  0	        0/3
axi_interconnect_1                             	0.00/0.25	0.00/0.18	        0.00/0.07	       2/76	0/141	  0	        0/3
si_converter_bank                          	0.00/0.01	0.00/0.00	        0.00/0.01	       0/6	0/2	  0	        0
gen_conv_slot[0].							                                                                        0
clock_conv_inst	                                0.01	        0.00	                0.01	               6	2	  0	        0
mi_protocol_conv_bank gen_protocol_slot[0].	0.00/0.00	0.00/0.00	        0.00/0.00	       0/4	0/21	  0	        0
gen_prot_conv.conv_inst	                        0.00/0.00	0.00/0.00	        0.00/0.00	       0/4	0/21	  0	        0
gen_axilite.gen_axilite_conv.axilite_conv_inst	0.00	        0.00	                0.00	               4	21	  0	        0
crossbar_samd	                                0.00/0.24	0.00/0.18	        0.00/0.06	       0/64	0/118	  0	        0/3
gen_sasd.crossbar_sasd_0	                0.01/0.24	0.01/0.18	        0.00/0.06	       5/64	22/118	  0	        1/3
gen_crossbar.splitter_aw	                0.00	        0.00	                0.00	               3	10	  0	        0
gen_crossbar.splitter_ar	                0.00	        0.00	                0.00	               2	5	  0	        0
gen_crossbar.gen_decerr.decerr_slave_inst	0.02	        0.02	                0.00	               10	18	  0	        1
gen_crossbar.addr_arbiter_inst	                0.21	        0.15	                0.05	               44	50	  0	        0
gen_crossbar.gen_addr_decoder.addr_decoder_inst	0.00/0.00	0.00/0.00	        0.00	               0	0/3	  0       	0/1
gen_target[0].gen_region[0]							
.gen_comparator_static.gen_							
addr_range.addr_decode_							
comparator	                                0.00	        0.00             	0.00             	0	3	  0	        1
gen_crossbar.mi_awready_mux_inst	        0.00	        0.00              	0.00             	0	2	  0	        0
gen_crossbar.mi_rmesg_mux_inst	                0.00     	0.00            	0.00            	0	8	  0	        0
axi_gpio_0	                                0.01/0.14	0.00/0.10       	0.01/0.04       	0/62	0/65	  0/8	        0
axi_gpio_0                                	0.00/0.13	0.00/0.10       	0.00/0.03       	11/62	3/65	  0/8	        0
gpio_core_1                             	0.13        	0.10            	0.03            	34	46	  8	        0
AXI_LITE_IPIF_I	                                0.00/0.00	0.00/0.00        	0.00/0.00        	0/17	0/16	  0	        0
I_SLAVE_ATTACHMENT                           	0.00/0.00	0.00/0.00       	0.00/0.00       	16/17	15/16	  0	        0
I_DECODER	                                0.00         	0.00             	0.00            	1	1	  0	        0

В четвертой секции отчета о параметрах энергопотребления аппаратной части разрабатываемой встраиваемой системы, которая имеет заголовок Warnings, содержатся предупреждения, сгенерированные средствами оценки потребляемой мощности Power Estimator. В частности, здесь имеется предупреждение о том, что результаты вычислений, представленные в рассматриваемом отчете, носят оценочный характер. Их точность зависит от степени соответствия значений исходных данных реальным режимам функционирования аппаратной части проектируемой микропроцессорной системы. В заключительном разделе этой секции указаны дата и время генерации отчета:

4. Warnings
---------------------------
WARNING:PowerEstimator:270 - Power estimate is considered inaccurate. To see details, generate an advanced report with the “-v” switch.
---------------------------
--
Analysis completed: Tue Sep 23 17:08:34 2014
----------------------------

Для более углубленного исследования характеристик энергопотребления аппаратной части разрабатываемой встраиваемой системы целесообразно воспользоваться средствами XPower Analyzer в интерактивном режиме.

 

Отображение параметров энергопотребления аппаратной части проектируемой микропроцессорной системы с помощью средств XPower Analyzer

Для активизации средств анализа потребляемой мощности XPower Analyzer в интер-активном режиме достаточно расположить курсор на строке Analyze Power Distribution (XPower Analyzer), представленной во встроенной панели процессов Processes Project Navigator в разделе Place & Route (рис. 102), и дважды щелкнуть левой кнопкой мыши. После этого открывается окно средств XPower Analyzer, в котором отображается сводная таблица основных исходных данных и результатов анализа энергопотребления аппаратной части проектируемой микропроцессорной системы, автоматически выполненного на основании значений исходных параметров, установленных по умолчанию.

Указанная таблица включает семь вложенных таблиц. В первой таблице с названием Device приводится информация о параметрах кристалла расширяемой процессорной платформы, на основе которого реализуется аппаратная часть разрабатываемой встраиваемой системы. Следует обратить внимание на то, что в отличие от отчета, рассмотренного в предыдущем разделе, в интерактивном режиме функционирования средств XPower Analyzer разработчику предоставляется возможность оперативного изменения отдельных параметров выбранного кристалла, в частности варианта температурного исполнения Temp Grade и технологического процесса изготовления Process.

Вторая таблица, имеющая название Environment, содержит сведения о значениях параметров окружающей среды, которые учитывались в процессе анализа энергопотребления. Эта таблица позволяет раз-работчику быстро скорректировать значения отдельных параметров окружения.

В третьей вложенной таблице под названием Characterization представлена информация о версии модели энергопотребления, используемой для вычисления потребляемой мощности кристалла расширяемой процессорной платформы, реализующего функции проектируемой микропроцессорной системы.

Четвертая вложенная таблица On-Chip предоставляет основные результаты анализа энергопотребления кристалла, на базе которого конфигурируется аппаратная часть разрабатываемой встраиваемой системы. Здесь приведены значения потребляемой мощности и объеме используемых логических и специализированных аппаратных ресурсов каждого типа, а также для кристалла в целом.

В пятой вложенной таблице Thermal Properties отображены сведения об основных температурных характеристиках применяемого кристалла расширяемой процессорной платформы. Эта таблица содержит значения эффективного теплового сопротивления кристалл — окружающая среда (Effective TJA), максимальной температуры окружающей среды (Max Ambient (C)) и температуры транзисторов кристалла (Junction Temp)

Шестая вложенная таблица Supply Summary информирует о значениях суммарного тока (Total Current (mA)), потребляемого от каждого источника блока питания кристалла, а также о значениях тока потребления в статическом и динамическом режиме. Кроме того, эта таблица позволяет изменить номинальные значения выходного напряжения соответствующих источников питания, которые приведены в колонке Voltage в соответствии с требованиями проекта.

В седьмой вложенной таблице с названием Supply Power представлены минимальные требования к суммарной мощности блока питания (Total), а также к мощности, потребляемой от этого блока в динамическом (Dynamic) и статическом (Quiescent) режимах.

Для отображения более подробных характеристик энергопотребления кристалла расширяемой процессорной платформы, реализующего функции аппаратной части проектируемой микропроцессорной системы, следует воспользоваться встроенной панелью навигации Report Navigator, которая расположена в левой части основного окна средств XPower Analyzer (рис. 103). Чтобы получить детальную информацию о значениях параметров энергопотребления каждого иерархического модуля, представленного в составе аппаратной части разрабатываемой встраиваемой системы, необходимо прежде всего развернуть в панели навигации раздел Details, после чего расположить курсор в строке By Hierarchy и щелкнуть левой кнопкой мыши. При этом основное окно средств анализа характеристик энергопотребления приобретает вид, изображенный на рис. 104.

Отображение общих параметров энергопотребления аппаратной части разрабатываемой встраиваемой системы с помощью средств XPower Analyzer

Рис. 103. Отображение общих параметров энергопотребления аппаратной части разрабатываемой встраиваемой системы с помощью средств XPower Analyzer

Отображение параметров энергопотребления иерархических модулей аппаратной части разрабатываемой системы средствами XPower Analyzer

Рис. 104. Отображение параметров энергопотребления иерархических модулей аппаратной части разрабатываемой системы средствами XPower Analyzer

Для предоставления значений потребляемой мощности, приходящейся на цепи распространения сигналов синхронизации, нужно расположить курсор в строке By Clock Domain, которая также находится в разделе Details встроенной панели навигации, и щелкнуть левой кнопкой мыши. После этого в рабочей области основного окна средств XPower Analyzer на вкладке Table View открывается таблица, вид которой демонстрирует рис. 105. В ее соответствующих колонках отображены идентификаторы всех тактовых сигналов (Name), используемых в аппаратной части проектируемой системы, значения потребляемой мощности (Power (W)), частоты (Frequency (MHz)), информация о типе используемого буферного элемента (Buffer), параметрах сигнала разрешения синхронизации (Buffer Enable, Enable Signal) и количестве разветвлений каждого тактового сигнала (Fanout, Slice Fanout). В последней строке указанной таблицы приводится значение суммарной мощности, рассеиваемой цепями распространения сигналов синхронизации.

Отображение параметров энергопотребления цепей распространения сигналов синхронизации

Рис. 105. Отображение параметров энергопотребления цепей распространения сигналов синхронизации

В случае необходимости анализа подробной информации о параметрах энергопотребления различных ресурсов кристалла, задействованных в составе аппаратной части создаваемой микропроцессорной системы, следует во встроенной панели навигации развернуть подраздел By Resource Type раздела Details (рис. 105). Затем в указанном подразделе нужно расположить курсор на строке с названием требуемых ресурсов кристалла и щелкнуть левой кнопкой мыши. Доступ к детализированной информации о параметрах энергопотребления логических ресурсов кристалла расширяемой процессорной платформы, используемых для реализации аппаратной части разрабатываемой встраиваемой системы, предоставляет строка Logic. Указанная информация отображается в форме таблицы, вид которой показан на рис. 106. В этой таблице для всех логических ресурсов, задействованных в составе аппаратной части проектируемой системы, приводятся сведения о потребляемой мощности (Power (W)), типе (Type), тактовом сигнале (Clock (MHz), Clock Name) и относительной частоте переключений Signal Rate.

Отображение параметров энергопотребления используемых логических ресурсов кристалла

Рис. 106. Отображение параметров энергопотребления используемых логических ресурсов кристалла

Для отображения значений потребляемой мощности, приходящейся на цепи распространения различных сигналов, необходимо расположить курсор на строке Signals, которая представлена в подразделе By Resource Type, и щелкнуть левой кнопкой мыши. При этом на вкладке Table View рабочей области основного окна средств XPower Analyzer выводится таблица, вид которой представлен на рис. 107. В этой таблице приведены значения мощности, рассеиваемой цепями распространения сигналов данных Data Signals и управления Control Signals, а также суммарной потребляемой мощности, приходящейся на сигнальные цепи Total Signals Power.

Отображение информации о мощности, рассеиваемой цепями распространения сигналов

Рис. 107. Отображение информации о мощности, рассеиваемой цепями распространения сигналов

Для того чтобы детально проанализировать характеристики энергопотребления цепей распространения сигналов различного типа, нужно переключить в развернутое состояние подраздел Signals, а затем выбрать строку с названием требуемого типа сигналов. Строка Data открывает доступ к таблице параметров энергопотребления цепей сигналов, подключенных к портам данных логических ресурсов, вид которой приведен на рис. 108. В этой таблице для каждой цепи сигнала данных содержится информация о потребляемой мощности (Power (W)), относительной частоте переключений (Signal Rate), количестве разветвлений (Fanout, Slice Fanout) и соответствующем тактовом сигнале (Clock).

Отображение подробных характеристик энергопотребления цепей сигналов данных

Рис. 108. Отображение подробных характеристик энергопотребления цепей сигналов данных

Сигналы управления, подаваемые на управляющие входы логических ресурсов, подразделяются средствами анализа характеристик энергопотребления на две группы. К первой группе Clock Enable относятся сигналы разрешения синхронизации. Вторую группу Set/Reset образуют сигналы сброса и установки. Для отображения подробных сведений о параметрах энергопотребления цепей сигналов управления следует вначале развернуть подраздел Control, а затем выбрать в нем строку с названием требуемой группы. После этого на вкладке Table View рабочей области основного окна средств XPower Analyzer появляется таблица, чей вид демонстрирует рис. 109. Эта таблица предоставляет информацию о тех же параметрах сигналов управления, что и для сигналов данных, а также о коэффициенте заполнения (%High) и типе логических ресурсов (Logic Type), сопряженных с этими сигналами.

Отображение подробных характеристик энергопотребления цепей сигналов управления

Рис. 109. Отображение подробных характеристик энергопотребления цепей сигналов управления

Для того чтобы получить детализированную информацию о характеристиках энергопотребления внешних интерфейсных цепей аппаратной части разрабатываемой встраиваемой системы, конфигурируемой на основе ресурсов Ios, представленной в подразделе By Resource Type, и программируемой логики, необходимо расположить курсор в строке и щелкнуть левой кнопкой мыши. При этом вкладка Table View принимает вид, изображенный на рис. 110. В таблице, расположенной на этой вкладке, для всех внешних интерфейсных цепей приводятся сведения о потребляемой мощности (Power (W)), используемом стандарте ввода/вывода (I/O Standard), относительной частоте переключений сигналов (Signal Rate), коэффициенте заполнения (%High), частоте (Clock (MHz) и идентификаторе соответствующего тактового сигнала (Clock Name), количестве задействованных входных (Input Pins), выходных (Output Pins) и двунаправленных (Bidir Pins) выводов кристалла, коэффициенте заполнения сигнала разрешения выхода (Output Enable (%)) и емкости нагрузки (Output Load (pF)).

Отображение подробных характеристик энергопотребления внешних интерфейсных цепей

Рис. 110. Отображение подробных характеристик энергопотребления внешних интерфейсных цепей

Для визуализации сведений о достоверности исходных данных и представленных результатов вычислений параметров энергопотребления следует воспользоваться строкой Confidence Level, расположенной в разделе Summary встроенной панели навигации. При выборе этой строки на вкладке Table View рабочей области основного окна средств XPower Analyzer отображается таблица, вид которой представлен на рис. 111. Эта таблица содержит сведения о различных исходных данных, используемых для оценки характеристик энергопотребления, (User Input Data), уровне их достоверности (Confidence), особенностях, определяющих уровень достоверности (Details), а также возможные рекомендации по повышению этого уровня (Action).

Отображение информации о достоверности исходных данных и характеристик энергопотребления

Рис. 111. Отображение информации о достоверности исходных данных и характеристик энергопотребления

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *