Интегрированная среда разработки программных компонентов встраиваемых микропроцессорных систем Xilinx SDK

Средства автоматизированного проектирования и этапы разработки встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq-7000 AP SoC

PDF версия
Кристаллы расширяемых процессорных платформ Extensible Processing Platform (EPP) семейства Zynq‑7000 AP SoC, выпускаемые фирмой Xilinx, представляют собой наиболее перспективную и динамично развивающуюся элементную базу для реализации высокоскоростных встраиваемых микропроцессорных систем.

Введение

Объединение в одном кристалле аппаратного двухъядерного процессорного блока с архитектурой ARM Cortex-A9 и программируемой логики FPGA (Field Programmable Gate Array) последнего поколения [10, 11, 12, 13, 14, 15, 16, 17, 18] предоставляет разработчикам широкие возможности для проектирования встраиваемых систем различного назначения с гибкой и динамически конфигурируемой структурой. Программируемые системы на кристалле All Programmable System-On-Chip (AP SoC) семейства Zynq‑7000 принципиально отличаются от ПЛИС с аппаратными микропроцессорными ядрами PowerPC семейств Virtex‑4 FX и Virtex‑5 FXT [19], которые производит компания Xilinx, как в архитектурном отношении, так и организацией взаимодействия программируемой логики и процессорного ядра. В ПЛИС этих семейств микропроцессорное ядро может функционировать только после завершения процедуры конфигурирования основных логических ресурсов. В кристаллах расширяемых вычислительных платформ семейства Zynq‑7000 AP SoC аппаратный процессорный блок является основным компонентом, который доступен до конфигурирования программируемой логики и может использоваться независимо от логических ресурсов. Кроме того, в составе процессорной системы этих кристаллов представлены аппаратные контроллеры наиболее востребованных интерфейсов, некоторые из которых сложно реализовать на базе стандартных логических ресурсов ПЛИС. Подробная информация об основных параметрах и архитектуре программируемых систем на кристалле семейства Zynq‑7000 AP SoC была представлена в [1].

Важным преимуществом кристаллов расширяемых процессорных платформ по сравнению с ПЛИС перечисленных выше семейств является существенно меньшая стоимость, что позволяет эффективно использовать их для серийной реализации встраиваемых систем различного уровня сложности и функционального назначения. Активному распространению программируемых систем на кристалле семейства Zynq‑7000 AP SoC способствует также предоставление фирмой Xilinx широкого спектра инструментальных отладочных комплектов и комплексов средств автоматизированного проектирования, позволяющих в рекордно короткие сроки выполнять все этапы разработки встраиваемых микропроцессорных систем, включая отладку аппаратной части и программного обеспечения. Подробные сведения о наиболее доступных инструментальных отладочных комплектах, выполненных на базе кристаллов расширяемых процессорных платформ этого семейства, приведены в [20, 21, 22].

В этой статье рассматриваются различные средства разработки встраиваемых микропроцессорных систем на базе кристаллов семейства Zynq‑7000 AP SoC и последовательность выполнения основных этапов проектирования с помощью различных САПР.

 

Общая характеристика САПР встраиваемых микропроцессорных систем

На протяжении последнего десятилетия основным средством автоматизированного проектирования цифровых устройств и встраиваемых микропроцессорных систем, реализуемых на основе ПЛИС с архитектурой FPGA и кристаллов расширяемых вычислительных платформ EPP фирмы Xilinx, была линейка САПР ISE (Integrated Synthesis Environment/Integrated Software Environment) Design Suite. В процессе постоянного развития и совершенствования этот пакет претерпел существенные изменения по сравнению с версиями, подробно рассмотренными в [23, 24]. Значительно расширились его функциональные возможности и количество поддерживаемых кристаллов. Увеличилось число редакций САПР Xilinx ISE Design Suite, каждая из которых ориентирована на разработку цифровых устройств и встраиваемых микропроцессорных систем определенного назначения. Особенности и функциональные возможности этих редакций средств автоматизированного проектирования рассматриваются в следующем разделе.

Стремительное внедрение новых технологий в процессе производства кристаллов программируемой логики и расширяемых процессорных платформ, происходящее в последнее время, позволило существенным образом увеличить объем их ресурсов. Но при разработке цифровых устройств и встраиваемых микропроцессорных систем на базе кристаллов с очень большим объемом логических и специализированных ресурсов принципы проектирования, а также алгоритмы синтеза, размещения и трассировки, заложенные в основу САПР Xilinx ISE Design Suite, начали терять свою эффективность. Значительно возросло время выполнения перечисленных процессов, а их результаты часто оказывались далекими от оптимальных. Поэтому фирма Xilinx добавила в состав последних версий этих средств проектирования дополнительные инструменты углубленного анализа результатов синтеза и последующей оптимизации процессов размещения и трассировки проектов в кристалле — PlanAhead Design and Analysis Tool. Применение этих средств при использовании ПЛИС и расширяемых процессорных платформ с большим количеством логических ресурсов и специализированных аппаратных блоков позволяет существенно повысить плотность размещения разрабатываемых проектов в кристалле, а также добиться заметного увеличения производительности разрабатываемых устройств и встраиваемых систем.

Планируя дальнейший рост объемов различных ресурсов кристаллов перспективных семейств, фирма Xilinx в 2012 году приступила к выпуску нового поколения средств автоматизированного проектирования цифровых устройств и встраиваемых микропроцессорных систем на основе ПЛИС с архитектурой FPGA и кристаллов расширяемых вычислительных платформ EPP — Vivado Design Suite. Применение в этой САПР более эффективных методов описания разрабатываемых систем и управления последующими процессами синтеза, размещения и трассировки их в кристалле позволило преодолеть ограничения, проявляющиеся в пакете Xilinx ISE Design Suite при работе с ПЛИС, обладающими значительным количеством ресурсов. С помощью программных средств Xilinx Vivado Design Suite можно значительно сократить время разработки и повысить уровень эффективности результатов за счет внедрения усовершенствованных методов проектирования, алгоритмов синтеза, размещения и трассировки проекта в кристаллах программируемой логики и расширяемых процессорных платформ EPP.

Появление инструментов проектирования нового поколения не привело к резкому снижению актуальности пакета Xilinx ISE Design Suite. При выборе САПР необходимо обратить внимание на то, что средства автоматизированного проектирования серии Xilinx Vivado Design Suite предназначены для разработки цифровых устройств и встраиваемых микропроцессорных систем, реализуемых на базе кристаллов с архитектурой FPGA только последнего и последующих поколений. В новой линейке инструментов проектирования отсутствует поддержка всех семейств ПЛИС, которые серийно выпускает компания Xilinx в настоящее время, за исключением кристаллов программируемой логики седьмой серии (семейств Artix‑7, Kintex‑7, Virtex‑7 [2, 11, 12, 13, 14, 15, 16, 17]) и расширяемых процессорных платформ Zynq‑7000 AP SoC. Поэтому в ближайшие годы предполагается одновременное сосуществование средств автоматизированного проектирования старого и нового поколений до тех пор, пока все семейства кристаллов, поддерживаемые пакетом Xilinx ISE Design Suite, не будут сняты с производства. При этом следует учитывать, что дальнейшее развитие этого пакета прекращено и новые семейства кристаллов им поддерживаться не будут. Фирма Xilinx будет осуществлять поддержку САПР серии ISE Design Suite только в рамках последней, четырнадцатой версии (14.x). В то же время средства автоматизированного проектирования Xilinx Vivado Design Suite находятся на начальном этапе развития, в процессе которого будут расширяться их функциональные возможности и список поддерживаемых серий кристаллов.

Учитывая, что программируемые системы на кристалле семейства Zynq‑7000 AP SoC поддерживаются инструментами разработки как нового, так и старого поколения, мы приводим краткий обзор различных редакций САПР серий Xilinx ISE Design Suite и Xilinx Vivado Design Suite.

 

Краткий обзор САПР серии Xilinx ISE Design Suite

Программные средства серии Xilinx ISE Design Suite представляют собой систему сквозного проектирования, которая реализует полный цикл разработки цифровых устройств и встраиваемых микропроцессорных систем на основе ПЛИС и расширяемых вычислительных платформ, включающий этапы создания исходных описаний проекта, синтеза, моделирования, размещения и трассировки, программирования кристаллов, а также внутрикристальной отладки.

Особенности этих систем автоматизированного проектирования:

  • интегрированная среда разработки, обеспечивающая эффективное управление всеми этапами проектирования цифровых устройств и встраиваемых микропроцессорных систем;
  • поддержка различных методов описания разрабатываемых устройств и систем (графических, в форме принципиальных схем, и текстовых, с использованием языков описания аппаратуры HDL (Hardware Description Language));
  • возможность использования проектов, подготовленных в других системах проектирования;
  • наличие схемотехнического редактора, укомплектованного набором обширных унифицированных библиотек;
  • интерактивные средства создания HDL-описаний, автоматически формирующие шаблоны на основании информации, предоставляемой пользователем, для языков описания аппаратуры VHDL и Verilog;
  • применение высокоэффективных встроенных средств синтеза HDL-проектов, поддерживающих языки VHDL и Verilog;
  • интегрированный интерфейс для средств синтеза и моделирования «третьих» фирм, обеспечивающий возможность применения, например, пакетов Synopsys Synplify/Synplify Pro и Mentor Graphics Precision RTL/Plus, поддерживающих языки VHDL и Verilog;
  • развитые средства верификации проекта, позволяющие сократить полное время разработки устройства за счет обнаружения возможных ошибок на более ранних стадиях проектирования и сокращения длительности и количества возможных итераций;
  • автоматические средства трассировки проекта в кристаллах программируемой логики и расширяемых процессорных платформ различных семейств фирмы Xilinx с учетом оптимизации проекта по различным параметрам;
  • единые средства конфигурирования ПЛИС и программируемых СнК всех семейств фирмы Xilinx, выполненных по различной технологии (CPLD и FPGA), и «прошивки» конфигурационных ППЗУ, поддерживающие несколько типов загрузочных кабелей JTAG-интерфейса;
  • встроенный комплект вспомогательных программных средств, позволяющих повысить эффективность процесса проектирования, включающий анализатор статических временных характеристик Timing Analyzer и модуль оценки потребляемой мощности XPower;
  • доступный, интуитивно понятный для разработчика пользовательский интерфейс и наличие в каждом модуле пакета справочной системы, сокращающие время освоения САПР.

Средства проектирования серии Xilinx ISE Design Suite представлены в пяти редакциях, включая свободно распространяемую конфигурацию: ISE WebPACK, Logic Edition, Embedded Edition, DSP Edition и System Edition. Основное отличие между этими редакциями заключается в количестве поддерживаемых кристаллов и наборе дополнительных инструментов проектирования.

Свободно распространяемая (бесплатная) модификация САПР ISE WebPACK поддерживает все кристаллы программируемой логики семейств CPLD, а также ограниченный список ПЛИС серий FPGA и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC. Кроме того, одно из главных отличий пакета ISE WebPACK от других редакций САПР Xilinx ISE Design Suite состоит в отсутствии поддержки комплекса программных средств внутрикристальной отладки проектируемых устройств и встраиваемых микропроцессорных систем ChipScope Pro Analyzer и ChipScope Pro Serial I/O Toolkit [25, 26]. В составе пакета ISE WebPACK используется ограниченная версия встроенных средств моделирования ISE Simulator [27].

Редакция Xilinx ISE Design Suite Logic Edition представляет собой систему автоматизированного проектирования цифровых устройств общего назначения. Эта редакция поддерживает все кристаллы программируемой логики и расширяемых процессорных платформ, серийно выпускаемых фирмой Xilinx к концу 2013 года. В составе редакции Xilinx ISE Design Suite Logic Edition представлены все основные инструменты разработки проектов и конфигурирования кристаллов, которые в ранних версиях этого пакета составляли редакцию ISE Foundation [23, 24], включая программный комплекс внутрикристальных отладочных средств ChipScope Pro Analyzer и ChipScope Pro Serial I/O Toolkit. В рассматриваемой редакции САПР отсутствуют средства проектирования устройств и встраиваемых микропроцессорных систем специального назначения.

Редакция Xilinx ISE Design Suite Embedded Edition предназначена, в первую очередь, для разработки встраиваемых микропроцессорных систем, реализуемых на базе ПЛИС с архитектурой FPGA и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC. Эта редакция в отличие от конфигурации ISE Design Suite Logic Edition включает в себя комплекс средств разработки встраиваемых микропроцессорных систем Xilinx Embedded Development Kit (EDK) [24, 28]. Структура и основные характеристики этого комплекса, позволяющего выполнять проектирование аппаратной части и ПО разрабатываемых систем, рассматриваются в следующем разделе.

Основным назначением редакции ISE Design Suite DSP Edition является разработка устройств цифровой обработки сигналов. В состав этой редакции входят средства проектирования устройств цифровой обработки сигналов Xilinx System Generator for DSP с помощью модельно-ориентированных инструментов разработки MATLAB и Simulink компании MathWorks.

Редакция System Edition отличается от других вариантов средств автоматизированного проектирования ISE Design Suite максимальным количеством специализированных инструментов разработки цифровых устройств и систем различного уровня. В составе этой редакции представлены следующие компоненты:

  • средства разработки проектов цифровых устройств и программирования ПЛИС ISE Foundation, включающие программу HDL-моделирования ISE Simulator;
  • инструменты углубленного анализа результатов синтеза и последующей оптимизации процессов размещения и трассировки проектов в кристалле PlanAhead Design and Analysis Tool;
  • комплекс средств проектирования встраиваемых микропроцессорных систем на основе кристаллов программируемой логики и расширяемых вычислительных платформ Xilinx EDK;
  • инструменты проектирования устройств цифровой обработки сигналов Xilinx System Generator for DSP;
  • средства внутрикристальной аппаратной отладки цифровых устройств и встраиваемых микропроцессорных систем, разрабатываемых на базе ПЛИС с архитектурой FPGA, ChipScope Pro Analyzer и ChipScope Pro Serial I/O Toolkit.

Все рассмотренные редакции средств проектирования серии Xilinx ISE Design Suite имеют одинаковую структуру, пользовательский интерфейс и обладают полной совместимостью проектов. Поэтому переход от одной конфигурации САПР к другой, например от свободно распространяемого пакета проектирования ISE WebPACK к наиболее полной редакции System Edition САПР Xilinx ISE Design Suite, требует минимальных временных затрат.

К моменту подготовки этого материала последней версией средств проектирования серии Xilinx ISE Design Suite, доступной для разработчиков, являлась версия 14.7. Информация о семействах и типах кристаллов программируемой логики и расширяемых процессорных платформ, поддерживаемых различными редакциями этой версии САПР, приведена в таблице 1.

Таблица 1. Семейства и типы ПЛИС, поддерживаемые различными редакциями системы проектирования серии Xilinx ISE Design Suite

Серия ПЛИС и програм-мируемых СнК

Семейство ПЛИС и програм-мируемых СнК

Редакция САПР ISE Design Suite

ISE WebPACK

Logic Edition

Embedded Edition

DSP Edition

System Edition

Zynq-7000

Zynq-7000 AP SoC

XC7Z010, XC7Z020, XC7Z030

Все

Virtex-4

Virtex-4 LX

XC4VLX15, XC4VLX25

XC4VSX25

XC4VFX12

Все

Virtex-4 SX

Virtex-4 FX

Virtex-5

Virtex-5 LX

XC5VLX30, XC5VLX50

XC5VLX20T – XC5VLX50T

XC5VFX30T

Все

Virtex-5 LXT

Virtex-5 SXT

Virtex-5 FXT

Virtex-6

Virtex-6

LXT: XC6VLX75T

Все

Virtex-7

Virtex-7

Все, кроме кристаллов с технологией SSIT

Kintex-7

Kintex-7

XC7K70T, XC7K160T

Все

Artix-7

Artix-7

XC7A100T, XC7A200T

Все

Spartan-3

Spartan-3

XC3S50 – XC3S1500

Все

Spartan-3L

XC3S1000L, XC3S1500L

Spartan-3E

Все

Spartan-3L

XC3S1000L, XC3S1500L

Spartan-3A

Все

Spartan-3AN

Spartan-3A DSP

XC3SD1800A

Spartan-6

Spartan-6

XC6SLX4 – XC6SLX75T

Все

CoolRunner

CoolRunner XPLA3

Все

Все

CoolRunner-II

XC9500

XC9500

Все

Все

XC9500 XL

XC9500 XV

Функциональные возможности всех конфигураций САПР серии Xilinx ISE Design Suite версии 14.7 отражены в таблице 2.

Таблица 2. Функциональные возможности различных конфигураций САПР

Функциональные возможности САПР

Редакция САПР ISE Design Suite

ISE WebPACK

Logic Edition

Embedded Edition

DSP Edition

System Edition

Схемотехнический редактор

+

+

+

+

+

Редактор HDL-кода

+

+

+

+

+

Генератор параметризированных модулей CORE Generator

+

+

+

+

+

Средства синтеза Xilinx Synthesis Technology (XST)

+

+

+

+

+

Средства конфигурирования и программирования iMPACT

+

+

+

+

+

Топологический редактор FPGA Editor

+

+

+

+

+

Средства моделирования ISE Simulator

+

(ограниченная версия)

+

+

+

+

Редактор ограничений Constraints Editor

+

+

+

+

+

Анализатор статических временных характеристик Timing Analyzer

+

+

+

+

+

Средства внутрикристальной отладки ChipScope Pro Analyzer

+

+

+

+

Средства внутрикристальной отладки ChipScope Pro Serial I/O Toolkit

+

+

+

+

Программа анализа потребляемой мощности XPower Analyzer

+

+

+

+

+

Встроенные средства генерации тестовых модулей

+

+

+

+

+

Средства анализа результатов синтеза и последующей оптимизации процессов размещения и трассировки проектов в кристалле PlanAhead

+

+

+

+

+

Средства проектирования устройств ЦОС Xilinx System Generator for DSP

+

+

Средства разработки аппаратной части встраиваемых микропроцессорных систем Xilinx EDK

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

+

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

+

Средства разработки программного обеспечения встраиваемых микропроцессорных систем Xilinx SDK

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

+

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z020, XC7Z030

+

Поддерживаемые платформы / операционные системы

Microsoft Windows XP Professional (32/64-bit),

Microsoft Windows 7 Professional (32/64-bit),

Windows Server 2008 (64-bit), Red Hat Enterprise Workstation 5 (32-bit and 64-bit),

Red Hat Enterprise Workstation 6 (32-bit and 64-bit),

SUSE Linux Enterprise 11 (32-bit and 64-bit)

Интегрированный интерфейс для средств синтеза Synopsys Synplify/Synplify Pro

+

+

+

+

+

Интегрированный интерфейс для средств синтеза Mentor Graphics Precision RTL/Plus

+

+

+

+

+

Поддержка средств моделирования Aldec Active-HDL

+

для MS Windows

+

для MS Windows

+

для MS Windows

+

для MS Windows

+

для MS Windows

Поддержка средств моделирования Aldec Riviera-PRO

+

+

+

+

+

Интегрированный интерфейс для средств моделирования Mentor Graphics ModelSim XE/PE/DE/SE

+

+

+

+

+

Интегрированный интерфейс для средств моделирования Mentor Graphics Questa Advanced Simulator

+

+

+

+

+

Поддержка средств MATLAB и Simulink компании MathWorks

+

+

В качестве альтернативных средств верификации проектов в САПР серии Xilinx ISE Design Suite может использоваться система моделирования ModelSim, которая представляет собой самостоятельный программный продукт, выпускаемый корпорацией Model Technology (одним из подразделений компании Mentor Graphics). В настоящее время ModelSim является одним из самых распространенных инструментов моделирования цифровых устройств и встраиваемых микропроцессорных систем, которые представлены в виде HDL-описаний, выполненных с использованием языков высокого уровня VHDL и Verilog. Это обусловлено целым рядом уникальных функциональных возможностей этого пакета [29].

Процесс разработки встраиваемых микропроцессорных систем на базе кристаллов программируемой логики и расширяемых вычислительных платформ семейства Zynq‑7000 AP SoC в САПР серии Xilinx ISE Design Suite может осуществляться двумя способами — в среде управляющей оболочки этого пакета Project Navigator или в интегрированной среде средств PlanAhead Design and Analysis Tool.

Вариант проектирования встраиваемых систем под управлением Project Navigator приведен на рис. 1.

Проектирование встраиваемых микропроцессорных систем в среде Project Navigator

Рис. 1. Проектирование встраиваемых микропроцессорных систем в среде Project Navigator

Этот вариант предоставляет разработчику ряд возможностей, позволяющих ускорить процесс подготовки исходных модулей проекта, в частности, автоматическое формирование основы тестовых файлов (Test Bench). Но при этом следует учитывать, что в Project Navigator отсутствует автоматическая поддержка некоторых отладочных плат. Поэтому при использовании таких плат параметры кристалла и подключение его выводов придется указывать вручную. Кроме того, применение средств PlanAhead Design and Analysis Tool позволяет добиться более высокого уровня оптимизации в процессе синтеза и реализации проекта разрабатываемой системы.

Пример использования интегрированной среды средств PlanAhead Design and Analysis Tool для проектирования встраиваемых микропроцессорных систем представлен на рис. 2.

Разработка встраиваемых микропроцессорных систем в среде PlanAhead Design and Analysis Tool

Рис. 2. Разработка встраиваемых микропроцессорных систем в среде PlanAhead Design and Analysis Tool

Процесс создания аппаратной платформы и ПО разрабатываемых систем в САПР серии Xilinx ISE Design Suite осуществляется с помощью комплекса Xilinx EDK, который автоматически активизируется в среде Project Navigator или PlanAhead Design and Analysis Tool.

 

Основные характеристики и структура пакета Xilinx Embedded Development Kit

Пакет Xilinx Embedded Development Kit представляет собой комплекс средств автоматизированного проектирования, позволяющий выполнять разработку и отладку как аппаратной, так и программной части встраиваемых микропроцессорных систем, реализуемых на основе кристаллов программируемой логики и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC. Этот пакет предоставляется не только в составе САПР серии Xilinx ISE Design Suite, но и как самостоятельный инструмент разработки встраиваемых микропроцессорных систем.

Средства проектирования Xilinx EDK позволяют реализовать полный цикл разработки встраиваемых систем на основе синтезируемого 32‑разрядного ядра с RISC (Reduced Instruction Set Computer) архитектурой MicroBlaze [30, 31, 32], аппаратного микропроцессорного модуля PowerPC и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC.

Особенности этого комплекса:

  • Возможность совместной разработки и отладки программной и аппаратной части микропроцессорной системы в рамках одного пакета.
  • Поддержка различных способов описания аппаратной части разрабатываемой системы.
  • Тесная интеграция САПР со стандартными средствами разработки проектов и программирования ПЛИС серии Xilinx ISE Design Suite.
  • Возможность применения разнообразных средств отладки программной и аппаратной частей создаваемых систем.
  • Возможность формирования моделей аппаратной части для последующей верификации в среде пакета ModelSim.
  • Наличие обширной библиотеки компонентов периферийных модулей микропроцессорной системы, представленных в виде IP-ядер, которые позволяют значительно ускорить и упростить процесс разработки программируемых систем на кристалле.
  • Наличие шаблонов для разработки оригинальных пользовательских ядер.
  • Возможность использования «мастера» создания новых системных платформ Base System Builder Wizard, позволяющего ускорить процесс разработки и избежать потенциальных ошибок.
  • Наличие «мастера» Create and Import Peripheral Wizard, который существенно облегчает создание ядер периферийных модулей и последующее включение их в состав новых проектов, как и IP-ядер, входящих в состав пакета.
  • Возможность конфигурирования узлов синхронизации разрабатываемых систем с помощью «мастера» Clock Wizard.
  • Простота и наглядность пользовательского интерфейса, повышающие эффективность процесса разработки.

В состав пакета Xilinx Embedded Development Kit входят:

  • средства разработки аппаратной платформы встраиваемых микропроцессорных систем Xilinx Platform Studio (XPS);
  • комплект IP-компонентов встраиваемых микропроцессорных систем (микропроцессорные ядра и периферийные модули);
  • инструменты разработки системного и прикладного ПО встраиваемых микропроцессорных систем Xilinx Software Development Kit (SDK).

Средства разработки XPS представляют собой комплекс инструментов, выполненных в виде программных модулей, которые предназначены для осуществления соответствующих этапов проектирования аппаратной платформы встраиваемых микропроцессорных систем. Эти программные модули могут активизироваться как автономно, так и в среде графической управляющей оболочки, вид которой показан на рис. 3.

Разработка аппаратной платформы встраиваемых микропроцессорных систем в среде XPS

Рис. 3. Разработка аппаратной платформы встраиваемых микропроцессорных систем в среде XPS

Запуск отдельных модулей пакета XPS в автономном режиме может осуществляться с помощью соответствующей командной строки.

В состав средств разработки аппаратной части встраиваемых микропроцессорных систем входит управляющая оболочка XPS и программы, выполняющие следующие функции:

  • создание и редактирование спецификаций аппаратной части разрабатываемой системы;
  • формирование HDL-описаний и списка соединений проектируемой аппаратной платформы;
  • создание и редактирование моделей компонентов аппаратной платформы разрабатываемой микропроцессорной системы;
  • компиляция моделей компонентов аппаратной платформы и необходимых библиотек для последующей верификации проектируемой системы.

Структура средств XPS показана на рис. 4.

Структура средств разработки аппаратной части встраиваемых микропроцессорных систем XPS

Рис. 4. Структура средств разработки аппаратной части встраиваемых микропроцессорных систем XPS

Рассмотрим более детально основные составляющие средств разработки аппаратной платформы встраиваемых микропроцессорных систем XPS.

Конфигурация аппаратной части проектируемой микропроцессорной системы определяется спецификацией MHS (Microprocessor Hardware Specification). В этой спецификации описываются:

  • архитектура шин;
  • микропроцессорные ядра;
  • используемые периферийные модули;
  • конфигурация взаимосвязей компонентов разрабатываемой системы;
  • приоритеты запросов прерывания;
  • диапазоны адресов памяти, используемые компонентами проектируемой системы.

Спецификация аппаратной части микропроцессорной системы представляет собой текстовый файл с расширением MHS. Для создания и модификации этого файла можно использовать любой текстовый редактор. Интегрированная среда разработки проекта средств XPS содержит встроенный редактор, который может быть эффективно использован для подготовки спецификации аппаратной части проектируемой системы.

Более наглядным способом генерации файла MHS является применение интерактивных и графических средств, которые входят в состав пакета Xilinx Platform Studio. К ним относятся, в частности, «мастер» создания новых системных платформ Base System Builder Wizard, «мастер» подготовки и включения IP-ядер периферийных модулей Create and Import Peripheral Wizard, «мастер» конфигурирования сопроцессора Configure Coprocessor Wizard и «мастер» настройки параметров узлов синхронизации разрабатываемых систем Clock Wizard. «Мастер» Base System Builder Wizard, вид которого приведен на рис. 5, автоматически формирует также файл спецификации программной части разрабатываемой системы Microprocessor Software Specification (MSS).

Использование «мастера» Base System Builder Wizard для подготовки спецификаций аппаратной и программной платформ разрабатываемых микропроцессорных систем

Рис. 5. Использование «мастера» Base System Builder Wizard для подготовки спецификаций аппаратной и программной платформ разрабатываемых микропроцессорных систем

Файл спецификации MSS представлен в текстовом формате. Для его корректировки можно использовать любой текстовый редактор. Достаточно удобен для этих целей встроенный текстовый редактор, который входит в состав интегрированной среды разработки Xilinx Platform Studio.

В спецификации MSS указываются следующие данные:

  • опции микропроцессорных ядер и модулей периферийных устройств;
  • информация о драйверах периферийных устройств и стандартных устройств ввода/вывода;
  • сведения о применяемых библиотеках;
  • информация о программах обработки прерываний;
  • сведения об используемой операционной системе.

Для быстрого создания и последующего включения в состав спецификаций MHS и MSS IP-ядер периферийных модулей целесообразно использовать «мастер» Create and Import Peripheral Wizard, представленный на рис. 6.

Применение «мастера» Create and Import Peripheral Wizard для подготовки IP-ядер периферийных модулей

Рис. 6. Применение «мастера» Create and Import Peripheral Wizard для подготовки IP-ядер периферийных модулей

Настройку параметров узлов синхронизации проектируемых систем можно осуществлять с помощью «мастера» Clock Wizard, как показано на рис. 7.

Конфигурирование узлов синхронизации разрабатываемых систем с помощью «мастера» Clock Wizard

Рис. 7. Конфигурирование узлов синхронизации разрабатываемых систем с помощью «мастера» Clock Wizard

Спецификация MHS является исходным модулем в процессе генерации списка соединений (netlist) проектируемой микропроцессорной системы. Формирование файлов списков соединений осуществляется с помощью программы Platform Generator (PlatGen) в автоматическом режиме. Эта программа на основе спецификации MHS и применяемых IP-ядер создает HDL-описание аппаратной части разрабатываемой системы и файлы списков соединений различных форматов, например NGC и EDIF. Кроме того, программа Platform Generator формирует файл директив синтеза аппаратной платформы разрабатываемой системы.

Чтобы выполнить верификацию аппаратной части проектируемой системы, нужно скомпилировать необходимые библиотеки для используемых инструментов моделирования и подготовить соответствующие модели компонентов, которые входят в ее состав. Компиляция библиотек моделирования осуществляется программой Simulation Library Compiler. На основе спецификации аппаратной платформы и библиотечных моделей программа Simulation Model Generator (SimGen) формирует файлы соответствующих моделей, представленных в виде описаний на языках HDL высокого уровня VHDL, Verilog или скомпилированных модулей. Кроме HDL-описаний моделей, программа Simulation Model Generator позволяет создавать файлы сценариев моделирования.

Для верификации аппаратной части разрабатываемой системы можно использовать встроенные средства моделирования ISE Simulator, входящие в состав САПР серии Xilinx ISE Design Suite, а также пакет программных инструментов HDL-моделирования ModelSim. В рамках этих пакетов может быть осуществлено как функциональное, так и полное (временное) моделирование аппаратной части разрабатываемой системы. При этом функциональное моделирование может проводиться на поведенческом и структурном уровне.

Синтез, размещение и трассировка проекта аппаратной части разрабатываемой системы, создание конфигурационной последовательности, а также конфигурирование кристаллов программируемой логики и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC производится с помощью основных программных средств САПР серии Xilinx ISE Design Suite.

 

Основные характеристики и структура средств разработки программных компонентов встраиваемых микропроцессорных систем Xilinx Software Development Kit

Инструменты разработки системного и прикладного ПО встраиваемых микропроцессорных систем Xilinx Software Development Kit (SDK) предоставляются как в составе комплекса Xilinx Embedded Development Kit, так и в автономном варианте. Функциональные возможности этих инструментов характеризуются следующими особенностями:

  • Возможность разработки программных приложений не только для однопроцессорных, но и для многопроцессорных систем.
  • Разработка прикладного ПО, функционирующего как автономно, так и под управлением различных операционных систем (ОС).
  • Поддержка прямого импорта описаний аппаратной платформы проектируемых систем, сформированных средствами Xilinx Platform Studio.
  • Возможность создания и конфигурирования пакетов поддержки плат Board Support Packages (BSPs) для различных операционных систем и автономных приложений.
  • Наличие готовых отлаженных примеров приложений, предназначенных для тестирования отдельных функциональных узлов применяемых инструментальных модулей, которые могут использоваться разработчиками в качестве шаблонов при подготовке соответствующего программного обеспечения проектируемых систем.
  • Развитая интеллектуальная система обнаружения ошибок в разрабатываемом коде.
  • Простой графический интерфейс пользователя, предоставляющий, в частности, возможность генерации сценария для редактора связей и программирования элементов Flash-памяти.
  • Наличие многофункционального редактора исходного кода C/C++, тесно интегрированного со средой компиляции.
  • Возможность одновременной подготовки приложений для разрабатываемых систем группой программистов.
  • Интегрированная среда сквозной отладки разрабатываемого ПО.

В состав средств разработки программных компонентов встраиваемых микропроцессорных систем Xilinx SDK входят инструменты, которые выполняют следующие функции:

  • Редактирование спецификаций программной платформы, которая содержит библиотеки, драйверы и процедуры обслуживания прерываний компонентов разрабатываемой системы.
  • Формирование модулей нижнего уровня программной платформы проектируемой системы.
  • Создание и редактирование исходных модулей прикладных программ (приложений) для разрабатываемой микропроцессорной системы.
  • Компиляция исходных модулей прикладных программ.
  • Компоновка скомпилированных объектных модулей и формирование исполняемого кода программ.
  • Отладка программ на уровне инструкций микропроцессорного ядра.
  • Комплексная отладка прикладных программ проектируемой системы.
  • Преобразование исполняемого кода программ в загружаемый код кристаллов FPGA и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC.
  • Подготовка файлов программирования для различных элементов Flash-памяти.
  • Загрузка исполняемого кода программ в кристаллы FPGA и расширяемых процессорных платформ.
  • Программирование элементов Flash-памяти.

Структура средств разработки ПО встраиваемых микропроцессорных систем показана на рис. 8.

Структура средств разработки программной части встраиваемых микропроцессорных систем Xilinx SDK

Рис. 8. Структура средств разработки программной части встраиваемых микропроцессорных систем Xilinx SDK

Интегрированная среда разработки программных компонентов встраиваемых микропроцессорных систем Xilinx Software Development Kit реализована в соответствии со стандартом систем с открытым кодом Eclipse. Эта среда, вид которой изображен на рис. 9, обеспечивает возможность управления всеми этапами проектирования системного и прикладного ПО.

Интегрированная среда разработки программных компонентов встраиваемых микропроцессорных систем Xilinx SDK

Рис. 9. Интегрированная среда разработки программных компонентов встраиваемых микропроцессорных систем Xilinx SDK

Программа Library Generator (LibGen) на основе спецификации программной части разрабатываемой системы MSS конфигурирует необходимые библиотеки, процедуры обслуживания прерываний и драйверы для используемых периферийных модулей и стандартных устройств ввода/вывода, а также пакет поддержки плат BSP.

Прикладные программы для проектируемой микропроцессорной системы могут разрабатываться как с применением языков высокого уровня С/С++, так и непосредственно на языке ассемблера. Создание и правка исходных модулей приложений в процессе их отладки может осуществляться в рамках различных текстовых редакторов. Наиболее эффективно для этих целей использовать встроенный текстовый редактор, который входит в состав интегрированной среды разработки Xilinx Software Development Kit.

Преобразование исходных модулей прикладных программ в загружаемый код выполняется с помощью средств GNU Compiler Tools, которые представлены в составе пакета Xilinx Software Development Kit. Средства GNU Compiler Tools последовательно осуществляют компиляцию исходных модулей в объектные файлы и компоновку объектных модулей в исполняемый код. Подробная структура средств компиляции и компоновки прикладных программ GNU Compiler Tools приведена на рис. 10.

Структура средств компиляции и компоновки прикладных программ GNU Compiler Tools

Рис. 10. Структура средств компиляции и компоновки прикладных программ GNU Compiler Tools

В состав средств GNU Compiler Tools входят:

  • препроцессор;
  • компилятор С;
  • компилятор С++;
  • ассемблер MicroBlaze;
  • ассемблер PowerPC;
  • ассемблер ARM Cortex-A9;
  • компоновщик (редактор связей) MicroBlaze;
  • компоновщик PowerPC;
  • компоновщик ARM Cortex-A9.

Препроцессор осуществляет преобразование исходного текста прикладных программ на основании специальных директив препроцессора, которые располагаются в исходных модулях. В соответствии с этими директивами препроцессор может, в частности, выполнять развертывание макросов, а также включать содержимое одних файлов в состав других.

Компилятор транслирует исходные тексты прикладных программ, обработанные препроцессором, в коды ассемблера. Компилятор С выполняет преобразование модулей прикладных программ, генерируемых препроцессором, которые включают в себя код на языке С. Компилятор С++ необходим для трансляции файлов, сформированных препроцессором, которые содержат текст программ на языке объектно-ориентированного программирования С++.

Ассемблер преобразует программные модули, представленные в кодах ассемблера, в объектные файлы, содержащие машинный код соответствующего процессорного ядра. Ассемблер MicroBlaze выполняет трансляцию в объектный код синтезируемого микропроцессорного ядра MicroBlaze, ассемблер PowerPC — в объектный код аппаратного процессорного ядра PowerPC, ассемблер ARM Cortex-A9 — в объектный код аппаратного процессорного ядра ARM Cortex-A9.

Компоновщик связывает все относящиеся к разрабатываемому приложению объектные файлы, сгенерированные ассемблером, подключает необходимые библиотечные модули и формирует исполняемый код. Для записи исполняемого кода прикладных программ используется формат ELF (Executable and Link Format). В зависимости от типа, используемого в основе разрабатываемой системы микропроцессорного ядра, генерация исполняемого кода осуществляется компоновщиком MicroBlaze, компоновщиком PowerPC или редактором связей ARM Cortex-A9 соответственно.

Для отладки разрабатываемых прикладных программ в составе средств Xilinx Software Development Kit имеются два инструмента: GNU Debugger (GDB) и Xilinx Microprocessor Debugger (XMD). Первый инструмент осуществляет отладку на уровне инструкций процессорного ядра и поэтому может использоваться для отладки программ, которые не имеют обращений к периферийным устройствам. Отладчик Xilinx Microprocessor Debugger применяется совместно с инструментом GNU Debugger и позволяет провести полную верификацию разрабатываемых приложений, в том числе и на аппаратном уровне с использованием соответствующего инструментального модуля и стандартного загрузочного кабеля.

После успешного завершения процесса отладки прикладных программ исполняемый код приложений в формате ELF может быть сгруппирован с конфигурационной последовательностью кристаллов и записан во внутрикристальную программную память. Для объединения конфигурационной последовательности, соответствующей аппаратной части проектируемой микропроцессорной системы, и исполняемого кода прикладных программ с последующей записью в программную память кристаллов предназначена утилита Bitstream Initializer (Bitinit).

Для записи загружаемого кода системного и прикладного ПО, а также конфигурационной информации кристаллов во внешние элементы Flash-памяти предусмотрена соответствующая утилита Flash Memory Programmer. Эта утилита поддерживает Flash ППЗУ разнообразных типов, выпускаемые различными производителями.

 

Краткий обзор систем автоматизированного проектирования серии Xilinx Vivado Design Suite

Структура средств автоматизированного проектирования серии Xilinx Vivado Design Suite базируется, в первую очередь, на широком использовании в процессе разработки цифровых устройств и встраиваемых микропроцессорных систем IP-ядер различного типа, позволяющих существенно сократить суммарное время выполнения этого процесса. В состав САПР нового поколения включен центральный депозитарий IP-компонентов, который содержит следующие типы ядер:

  • ядра, предоставляемые фирмой Xilinx (встроенные IP-ядра САПР Vivado Design Suite, а также модули, создаваемые средствами System Generator и Vivado High-Level Synthesis);
  • ядра, разработанные «третьими» фирмами;
  • ядра, создаваемые разработчиками.

Кроме того, средства проектирования серии Xilinx Vivado Design Suite предоставляют разработчикам интегрированный инструмент для непосредственного включения IP-ядер в состав проектов и настройки их параметров — IP Integrator, который можно использовать, в частности, для формирования описаний и конфигурирования микропроцессорных и периферийных модулей. Таким образом, процесс проектирования аппаратной платформы встраиваемых микропроцессорных систем можно полностью выполнять в интегрированной среде разработки Vivado Integrated Design Environment (IDE) без использования таких дополнительных инструментов, как Xilinx Platform Studio.

На рис. 11 представлен процесс формирования описания и конфигурирования процессорной системы кристаллов расширяемых вычислительных платформ семейства Zynq‑7000 AP SoC в интегрированной среде Vivado IDE.

Разработка аппаратной платформы встраиваемых микропроцессорных систем в интегрированной среде Vivado IDE

Рис. 11. Разработка аппаратной платформы встраиваемых микропроцессорных систем в интегрированной среде Vivado IDE

Наиболее существенными особенностями САПР серии Xilinx Vivado Design Suite, характеризующими ее функциональные возможности, являются:

  • существенное увеличение скорости выполнения процессов размещения и трассировки проектов в кристаллах программируемой логики и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC (по сравнению со средствами проектирования предыдущего поколения) за счет применения более эффективных алгоритмов, а также углубленного анализа и планирования топологии элементов проектируемых устройств и систем;
  • значительное повышение плотности размещения проектов в кристаллах;
  • заметное увеличение производительности и снижение потребляемой мощности разрабатываемых устройств и систем, достигаемое за счет внедрения новых технологий в процесс проектирования;
  • возможность представления модулей исходного описания проектируемых устройств и аппаратной части встраиваемых микропроцессорных систем в виде RTL-описаний на языках HDL высокого уровня VHDL и Verilog;
  • поддержка средств синтеза высокого уровня Xilinx Vivado High-Level Synthesis, позволяющих использовать для описания разрабатываемых устройств и встраиваемых микропроцессорных систем языки программирования C/C++;
  • возможность импорта проектов, разработанных в других системах проектирования;
  • предоставление большого количества различных готовых стратегий синтеза, размещения и трассировки проектов в кристаллах, обеспечивающих оптимизацию результатов этих процессов в соответствии с выбранным критерием;
  • возможность создания собственных стратегий, позволяющих наиболее точно учитывать особенности архитектуры используемых кристаллов и разрабатываемых систем при выполнении процессов синтеза, размещения и трассировки проектов в кристаллах;
  • применение более эффективного формата записи временных и топологических ограничений проекта Xilinx Design Constraint (XDC);
  • возможность углубленного анализа синтезированного описания проекта;
  • предоставление возможности визуального планирования расположения пользовательских выводов кристалла, задействуемых в проекте разрабатываемых систем;
  • возможность контроля выполнения поставленных временных и топологических ограничений;
  • генерация специализированных отчетов, позволяющих получить исчерпывающую информацию о ходе выполнения и результатах всех процессов, выполняемых в ходе проектирования;
  • поддержка непроектного режима функционирования, предоставляющего пользователям расширенные возможности управления процессом разработки;
  • тесная интеграция со средствами разработки программных компонентов встраиваемых микропроцессорных систем Xilinx Software Development Kit;
  • интегрированный интерфейс для средств синтеза и моделирования «третьих» фирм.

Средства проектирования серии Vivado Design Suite выпускаются в трех редакциях: Vivado WebPACK, Design Edition и System Edition. Свободно распространяемая (бесплатная) модификация САПР Vivado WebPACK отличается от других редакций ограниченным количеством поддерживаемых кристаллов программируемой логики и расширяемых вычислительных платформ, а также отсутствием инструментов внутрикристальной отладки разрабатываемых устройств и встраиваемых микропроцессорных систем Vivado Logic Analyzer и Vivado Serial I/O Analyzer.

Редакция Vivado Design Edition предназначена для автоматизированного проектирования как цифровых устройств различного назначения, так и встраиваемых микропроцессорных систем. Эта редакция поддерживает полный список серийно производимых кристаллов программируемой логики седьмой серии и расширяемых процессорных платформ семейства Zynq‑7000 AP SoC. В состав редакции Vivado Design Edition входят все основные инструменты разработки и моделирования проектов и конфигурирования кристаллов, включая программный комплекс внутрикристальных отладочных инструментов Vivado Logic Analyzer и Vivado Serial I/O Analyzer.

Редакция System Edition является наиболее полной конфигурацией средств автоматизированного проектирования серии Xilinx Vivado Design Suite. Эта конфигурация отличается от редакции Vivado Design Edition наличием инструментов проектирования устройств цифровой обработки сигналов Xilinx System Generator for DSP с помощью модельно-ориентированных инструментов разработки MATLAB и Simulink компании MathWorks, а также средств синтеза высокого уровня Xilinx Vivado High-Level Synthesis.

Все перечисленные редакции САПР серии Xilinx Vivado Design Suite имеют одинаковую структуру, пользовательский интерфейс и обладают полной совместимостью форматов проектов. Поэтому при необходимости разрабатываемые проекты могут быть перенесены из одной редакции средств автоматизированного проектирования серии Xilinx Vivado Design Suite в другую, например из Vivado WebPACK в Vivado Design Edition или Vivado System Edition, без каких-либо изменений.

В настоящее время разработчикам доступна версия 2013.4 средств проектирования серии Xilinx Vivado Design Suite. Информация о семействах и типах кристаллов программируемой логики и расширяемых процессорных платформ, поддерживаемых различными редакциями этой версии САПР, представлена в таблице 3.

Таблица 3. Семейства и типы ПЛИС, поддерживаемые различными редакциями системы проектирования серии Xilinx Vivado Design Suite

Серия ПЛИС и програм-мируемых СнК

Семейство ПЛИС и програм-мируемых СнК

Редакция САПР Vivado Design Suite

Vivado WebPACK

Design Edition

System Edition

Zynq

Zynq-7000 AP SoC

XC7Z010 XC7Z015 XC7Z020 XC7Z030

Все

Virtex

Virtex-7

Все

Kintex

Kintex-7

XC7K70T XC7K160T

Все

Artix

Artix-7

XC7A100T XC7A200T XC7A75T

Все

Подробные сведения о функциональных возможностях всех конфигураций средств автоматизированного проектирования серии Xilinx Vivado Design Suite версии 2013.4 содержатся в таблице 4. 

Таблица 4. Функциональные возможности различных конфигураций САПР серии Xilinx Vivado Design Suite версии 2013.4

Функциональные возможности САПР

Редакция САПР Vivado Design Suite

Vivado WebPACK

Design Edition

System Edition

Интегрированная среда разработки проектов (Integrated Design Environment, IDE)

+

+

+

Редактор HDL-кода

+

+

+

Встроенные средства генерации параметризированных модулей IP Integrator

+

+

+

Средства моделирования Vivado Simulator

+

+

+

Поддержка средств внутрикристальной отладки Vivado Logic Analyzer

+

+

Поддержка средств внутрикристальной отладки Vivado Serial I/O Analyzer

+

+

Средства высокоуровневого синтеза Vivado High-Level Synthesis

+

Средства проектирования устройств ЦОС Xilinx System Generator for DSP

+

Средства разработки программного обеспечения встраиваемых микропроцессорных систем Xilinx SDK

Только для кристаллов Zynq-7000 AP SoC XC7Z010, XC7Z015, XC7Z020, XC7Z030

+

+

Поддерживаемые платформы / операционные системы

Microsoft Windows XP Professional (32/64 бит),

Microsoft Windows 7 Professional (32/64 бит),

Windows Server 2008 (64 бит),

Red Hat Enterprise Workstation 5 (32 и 64 бит),

Red Hat Enterprise Workstation 6 (32 и 64 бит),

SUSE Linux Enterprise 11 (32 и 64 бит)

Интегрированный интерфейс для средств синтеза Synopsys Synplify/Synplify Pro

+

+

+

Интегрированный интерфейс для средств синтеза Mentor Graphics Precision RTL/Plus

+

+

+

Поддержка средств моделирования Aldec Active-HDL

+

для MS Windows

+

для MS Windows

+

для MS Windows

Поддержка средств моделирования Aldec Riviera-PRO

+

+

+

Интегрированный интерфейс для средств моделирования Mentor Graphics Questa SIM SE/DE

+

+

+

Интегрированный интерфейс для средств моделирования Mentor Graphics Questa Advanced Simulator

+

+

+

Поддержка систем моделирования MathWorks MATLAB и Simulink с Fixed-Point Toolbox

+

Окончание следует

Статья опубликована в журнале №2’2014 журнала «Компоненты и технологии»

Литература
  1. Зотов В. Расширение семейства программируемых систем на кристалле Zynq‑7000 AP SoC // Компоненты и технологии. № 12. 2014. № 1.
  2. Zynq‑7000 All Programmable SoC Overview. Advance Product Specification. Xilinx, 2013.
  3. Zynq‑7000 All Programmable SoC (XC7Z010, XC7Z015, and XC7Z020): DC and AC Switching Characteristics. Xilinx, 2013.
  4. Zynq‑7000 All Programmable SoC (XC7Z030, XC7Z045, and XC7Z100): DC and AC Switching Characteristics. Xilinx, 2013.
  5. Zynq‑7000 All Programmable SoC Technical Reference Manual. Xilinx, 2013.
  6. Zynq‑7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT). A Hands-On Guide to Effective Embedded System Design. Xilinx, 2013.
  7. Zynq‑7000 All Programmable SoC Software Developers Guide. Xilinx, 2013.
  8. Zynq‑7000 All Programmable SoC PCB Design and Pin Planning Guide. Xilinx, 2013.
  9. 7 Series FPGAs and Zynq‑7000 All Programmable SoC XADC Dual 12‑Bit 1 MSPS. Analog-to-Digital Converter User Guide. Xilinx, 2012.
  10. Зотов В. Особенности архитектуры нового поколения ПЛИС с архитектурой FPGA фирмы Xilinx // Компоненты и технологии. 2010. № 12.
  11. 7 Series FPGAs SelectIO Resources User Guide. Xilinx, 2012.
  12. 7 Series FPGAs Clocking Resources User Guide. Xilinx, 2013.
  13. 7 Series FPGAs Memory Resources User Guide. Xilinx, 2013.
  14. 7 Series FPGAs Configurable Logic Block User Guide. Xilinx, 2012.
  15. 7 Series FPGAs GTP Transceivers User Guide. Xilinx, 2013.
  16. 7 Series FPGAs GTX/GTР Transceivers User Guide. Xilinx, 2013.
  17. 7 Series FPGAs Integrated Block for PCIe User Guide. Xilinx, 2012.
  18. 7 Series DSP48E1 Slice User Guide. Xilinx, 2013.
  19. Зотов В. Инструментальный модуль компании Avnet для отладки проектов встраиваемых систем, разрабатываемых на базе нового семейства ПЛИС FPGA фирмы Xilinx Virtex 5 FXT // Компоненты и технологии. 2008. № 9.
  20. Зотов В. Аппаратные средства разработки и отладки встраиваемых микропроцессорных систем, проектируемых на основе расширяемых вычислительных платформ фирмы Xilinx семейства Zynq‑7000 AP SoC // Компоненты и технологии. 2013. № 1.
  21. Зотов В. ZedBoard — эффективный инструмент разработки и отладки встраиваемых микропроцессорных систем, проектируемых на основе расширяемых вычислительных платформ фирмы Xilinx семейства Zynq‑7000 AP SoC // Компоненты и технологии. 2013. № 6.
  22. Зотов В. MicroZed — семейство унифицированных модулей, предназначенных для отладки и реализации встраиваемых микропроцессорных систем, проектируемых на основе расширяемых вычислительных платформ фирмы Xilinx серии Zynq‑7000 AP SoC // Компоненты и технологии. 2013. № 11.
  23. Зотов В. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPack ISE. М.: Горячая линия – Телеком, 2003.
  24. Зотов В. Проектирование встраиваемых микропроцессорных систем на основе ПЛИС фирмы Xilinx. М.: Горячая линия – Телеком, 2006.
  25. Зотов В. Средства внутрикристальной отладки цифровых устройств и встраиваемых микропроцессорных систем, разрабатываемых на базе ПЛИС с архитектурой FPGA фирмы Xilinx — ChipScope Pro // Компоненты и технологии. 2008. № 10.
  26. Зотов В. Формирование описаний компонентов для внутрикристальной отладки цифровых устройств и встраиваемых микропроцессорных систем на основе параметризированных модулей Xilinx CORE Generator Tool. Ч. 3 // Компоненты и технологии. 2008. № 11–12. 2009. № 2–3.
  27. Зотов В. Моделирование цифровых устройств, проектируемых на основе ПЛИС фирмы Xilinx, средствами ISIM в САПР ISE Design Suite // Компоненты и технологии. 2013. № 2–3,
  28. Зотов В. Embedded Development Kit — система проектирования встраиваемых микропроцессорных систем на основе ПЛИС серий FPGA фирмы Xilinx // Компоненты и технологии. 2004. № 4.
  29. Зотов В. ModelSim — система HDL-моделирования цифровых устройств // Компоненты и технологии. 2002. № 6.
  30. Зотов В. MicroBlaze — семейство 32‑разрядных микропроцессорных ядер, реализуемых на основе ПЛИС фирмы Xilinx // Компоненты и технологии. 2003. № 9.
  31. Зотов В. Система команд микропроцессорного ядра MicroBlaze // Компоненты и технологии. 2004. № 1–3.
  32. Зотов В. Организация памяти микропроцессорного ядра MicroBlaze // Компоненты и технологии. 2004. № 5.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *